****** HSPICE -- B-2008.09 64-BIT (Aug 26 2008) linux ****** Copyright (C) 2008 Synopsys, Inc. All Rights Reserved. Unpublished-rights reserved under US copyright laws. This program is protected by law and is subject to the terms and conditions of the license agreement from Synopsys. Use of this program is your acceptance to be bound by the license agreement. HSPICE is the trademark of Synopsys, Inc. Input File: CMOS-Inverter-delay22MGK.sp lic: lic: FLEXlm: v8.5b lic: USER: ishani HOSTNAME: cvest lic: HOSTID: PID: 47189 lic: Using FLEXlm license file: lic: /opt/hspice_vB-2008.09/license/hspice_2007-09.lic lic: Checkout 1 hspice lic: License/Maintenance for hspice will expire on 31-dec-2020/2007.9 lic: NODE LOCKED license on hostid lic: .param wm = 22n .param lm = 22n mp noded nodei dd dd pmos w=' (2*wm) ' l=lm mn noded nodei gnd gnd nmos w=wm l=lm mc nodeo noder dd dd pmos l=lm w = ' (2*wm) ' md nodeo noder gnd gnd nmos l=lm w=wm vdd dd gnd dc 1 vin nodei gnd pwl (0n 0 0.5n 0 510p 1 2n 1 2010p 0) .measure tran tp_lh trig v(nodei) val='0.5*1' fall=1 targ v(noder) val='0.5*1' rise=1 .measure tran tp_hl trig v(nodei) val='0.5*1' rise=1 targ v(noder) val='0.5*1' fall=1 .trans 1n 200n * ptm 22nm metal gate / high-k .model nmos nmos level = 54 +version = 4.0 binunit = 1 paramchk= 1 mobmod = 0 +capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 +diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 +permod = 1 acnqsmod= 0 trnqsmod= 0 +tnom = 27 toxe = 6.5e-010 toxp = 4e-010 toxm = 6.5e-010 +dtox = 2.5e-010 epsrox = 3.9 wint = 5e-009 lint = 1.35e-009 +ll = 0 wl = 0 lln = 1 wln = 1 +lw = 0 ww = 0 lwn = 1 wwn = 1 +lwl = 0 wwl = 0 xpart = 0 toxref = 6.5e-010 xl = -9e-9 +dlcig = 1.35e-009 +vth0 = 0.3692 k1 = 0.2 k2 = 0 k3 = 0 +k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 +dvt2 = 0 dvt0w = 0 dvt1w = 0 dvt2w = 0 +dsub = 0.078 minv = 0.05 voffl = 0 dvtp0 = 1e-011 +dvtp1 = 0.1 lpe0 = 0 lpeb = 0 xj = 7.2e-009 +ngate = 1e+023 ndep = 1.2e+019 nsd = 2e+020 phin = 0 +cdsc = 0 cdscb = 0 cdscd = 0 cit = 0 +voff = -0.13 nfactor = 2.3 eta0 = 0.0045 etab = 0 +vfb = -1.058 u0 = 0.0181 ua = -5e-010 ub = 1.7e-018 +uc = 0 vsat = 200000 a0 = 1 ags = 0 +a1 = 0 a2 = 1 b0 = 0 b1 = 0 +keta = 0.04 dwg = 0 dwb = 0 pclm = 0.06 +pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = -0.005 drout = 0.5 +pvag = 1e-020 delta = 0.01 pscbe1 = 2.0e+009 pscbe2 = 1e-007 +fprout = 0.2 pdits = 0.01 pditsd = 0.23 pditsl = 2300000 +rsh = 5 rdsw = 60 rsw = 30 rdw = 30 +rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0 +prwb = 0 wr = 1 alpha0 = 0.074 alpha1 = 0.005 +beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 +egidl = 0.8 aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 +nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 +eigbinv = 1.1 nigbinv = 3 aigc = 0.0213 bigc = 0.0025889 +cigc = 0.002 aigsd = 0.0213 bigsd = 0.0025889 cigsd = 0.002 +nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 +xrcrg1 = 12 xrcrg2 = 5 +cgso = 7e-011 cgdo = 7e-011 cgbo = 0 cgdl = 7.5e-013 +cgsl = 7.5e-013 clc = 1e-007 cle = 0.6 cf = 1.1e-010 +ckappas = 0.6 ckappad = 0.6 vfbcv = -1 acde = 1 +moin = 15 noff = 1 voffcv = 0 +kt1 = -0.154 kt1l = 0 kt2 = 0.022 ute = -1.1 +ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.6e-011 prt = 0 +at = 33000 +fnoimod = 1 tnoimod = 0 noia = 6.25e+041 noib = 3.125e+026 +noic = 8.75e+009 em = 41000000 af = 1 ef = 1 +kf = 0 tnoia = 1.5 tnoib = 3.5 ntnoi = 1 +jss = 1.2e-006 jsws = 2.4e-013 jswgs = 2.4e-013 njs = 1 +ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 10 xjbvs = 1 +jsd = 1.2e-006 jswd = 2.4e-013 jswgd = 2.4e-013 xjbvd = 1 +pbs = 1 cjs = 0.0018 mjs = 0.5 pbsws = 1 +cjsws = 1.2e-010 mjsws = 0.33 cjswgs = 2.1e-010 cjd = 0.0018 +cjswd = 1.2e-010 mjswd = 0.33 pbswgd = 1 cjswgd = 2.1e-010 +mjswgd = 0.33 tpb = 0 tcj = 0 tpbsw = 0 +tcjsw = 0 tpbswg = 0 tcjswg = 0 xtis = 3 +dmcg = 0 dmci = 0 dmdg = 0 dmcgt = 0 +dwj = 0 xgw = 0 xgl = 0 +rshg = 0.4 gbmin = 1e-010 rbpb = 5 rbpd = 15 +rbps = 15 rbdb = 15 rbsb = 15 ngcon = 1 .model pmos pmos level = 54 +version = 4.0 binunit = 1 paramchk= 1 mobmod = 0 +capmod = 2 igcmod = 1 igbmod = 1 geomod = 1 +diomod = 1 rdsmod = 0 rbodymod= 1 rgatemod= 1 +permod = 1 acnqsmod= 0 trnqsmod= 0 +tnom = 27 toxe = 6.7e-010 toxp = 4e-010 toxm = 6.7e-010 +dtox = 2.7e-010 epsrox = 3.9 wint = 5e-009 lint = 1.35e-009 +ll = 0 wl = 0 lln = 1 wln = 1 +lw = 0 ww = 0 lwn = 1 wwn = 1 +lwl = 0 wwl = 0 xpart = 0 toxref = 6.7e-010 xl = -9e-9 +dlcig = 1.35e-009 +vth0 = -0.25399 k1 = 0.2 k2 = -0.01 k3 = 0 +k3b = 0 w0 = 2.5e-006 dvt0 = 1 dvt1 = 2 +dvt2 = -0.032 dvt0w = 0 dvt1w = 0 dvt2w = 0 +dsub = 0.1 minv = 0.05 voffl = 0 dvtp0 = 1e-011 +dvtp1 = 0.05 lpe0 = 0 lpeb = 0 xj = 7.2e-009 +ngate = 1e+023 ndep = 4.4e+018 nsd = 2e+020 phin = 0 +cdsc = 0 cdscb = 0 cdscd = 0 cit = 0 +voff = -0.13 nfactor = 2.3 eta0 = 0.0037 etab = 0 +vfb = -1.058 u0 = 0.0023 ua = -5e-010 ub = 1.6e-018 +uc = 0 vsat = 78000 a0 = 1 ags = 1e-020 +a1 = 0 a2 = 1 b0 = 0 b1 = 0 +keta = -0.047 dwg = 0 dwb = 0 pclm = 0.1 +pdiblc1 = 0.001 pdiblc2 = 0.001 pdiblcb = 3.4e-008 drout = 0.6 +pvag = 1e-020 delta = 0.01 pscbe1 = 2e+009 pscbe2 = 9.58e-007 +fprout = 0.2 pdits = 0.08 pditsd = 0.23 pditsl = 2300000 +rsh = 5 rdsw = 60 rsw = 30 rdw = 30 +rdswmin = 0 rdwmin = 0 rswmin = 0 prwg = 0 +prwb = 0 wr = 1 alpha0 = 0.074 alpha1 = 0.005 +beta0 = 30 agidl = 0.0002 bgidl = 2.1e+009 cgidl = 0.0002 +egidl = 0.8 aigbacc = 0.012 bigbacc = 0.0028 cigbacc = 0.002 +nigbacc = 1 aigbinv = 0.014 bigbinv = 0.004 cigbinv = 0.004 +eigbinv = 1.1 nigbinv = 3 aigc = 0.012731 bigc = 0.00115 +cigc = 0.0008 aigsd = 0.012731 bigsd = 0.00115 cigsd = 0.0008 +nigc = 1 poxedge = 1 pigcd = 1 ntox = 1 +xrcrg1 = 12 xrcrg2 = 5 +cgso = 7e-011 cgdo = 7e-011 cgbo = 0 cgdl = 3e-011 +cgsl = 3e-011 clc = 1e-007 cle = 0.6 cf = 1.1e-010 +ckappas = 0.6 ckappad = 0.6 vfbcv = -1 acde = 1 +moin = 15 noff = 1 voffcv = 0 +kt1 = -0.14 kt1l = 0 kt2 = 0.022 ute = -1.1 +ua1 = 1e-009 ub1 = -1e-018 uc1 = -5.6e-011 prt = 0 +at = 33000 +fnoimod = 1 tnoimod = 0 noia = 6.25e+041 noib = 3.125e+026 +noic = 8.75e+009 em = 41000000 af = 1 ef = 1 +kf = 0 tnoia = 1.5 tnoib = 3.5 ntnoi = 1 +jss = 2e-007 jsws = 4e-013 jswgs = 4e-013 njs = 1 +ijthsfwd= 0.1 ijthsrev= 0.1 bvs = 10 xjbvs = 1 +jsd = 2e-007 jswd = 4e-013 jswgd = 4e-013 xjbvd = 1 +pbs = 1 cjs = 0.0015 mjs = 0.5 pbsws = 1 +cjsws = 9.4e-011 mjsws = 0.33 cjswgs = 2e-010 cjd = 0.0015 +cjswd = 9.4e-011 mjswd = 0.33 pbswgd = 1 cjswgd = 2e-010 +mjswgd = 0.33 tpb = 0 tcj = 0 tpbsw = 0 +tcjsw = 0 tpbswg = 0 tcjswg = 0 xtis = 3 +dmcg = 0 dmdg = 0 dmcgt = 0 xgw = 0 +xgl = 0 +rshg = 0.1 gbmin = 1e-012 rbpb = 50 rbpd = 50 +rbps = 50 rbdb = 50 rbsb = 50 ngcon = 1 .end 1****** HSPICE -- B-2008.09 64-BIT (Aug 26 2008) linux ****** ****** cmos inverter delay ****** mos model parameters tnom= 25.000 temp= 25.000 ***** Model Name: nmos BSIM4 Model (Level 54) MOBMOD = 0 BINUNIT = 1 PARAMCHK = 1 CAPMOD = 2 DIOMOD = 1 RDSMOD = 0 TRNQSMOD = 0 ACNQSMOD = 0 RBODYMOD = 1 RGATEMOD = 1 PERMOD = 1 GEOMOD = 1 RGEOMOD = 0 FNOIMOD = 1 TNOIMOD = 0 IGCMOD = 1 IGBMOD = 1 STIMOD = 0 VERSION = 4 TOXREF = 6.5e-10 TOXE = 6.5e-10 TOXP = 4e-10 TOXM = 6.5e-10 DTOX = 2.5e-10 EPSROX = 3.9 CDSC = 0 CDSCB = 0 CDSCD = 0 CIT = 0 NFACTOR = 2.3 XJ = 7.2e-09 VSAT = 2e+05 A0 = 1 AGS = 0 A1 = 0 A2 = 1 AT = 3.3e+04 KETA = 0.04 NSUB = 6e+16 NDEP = 1.2e+19 NSD = 2e+20 NGATE = 1e+23 GAMMA1 = 0 GAMMA2 = 0 VBX = 0 VBM = -3 XT = 1.55e-07 K1 = 0.2 KT1 = -0.154 KT1L = 0 KT2 = 0.022 K2 = 0 K3 = 0 K3B = 0 LPE0 = 0 LPEB = 0 DVTP0 = 1e-11 DVTP1 = 0.1 W0 = 2.5e-06 DVT0 = 1 DVT1 = 2 DVT2 = 0 DVT0W = 0 DVT1W = 0 DVT2W = 0 DROUT = 0.5 DSUB = 0.078 VTH0 = 0.3692 EU = 1.67 UA = -5e-10 UA1 = 1e-09 UB = 1.7e-18 UB1 = -1e-18 UC = 0 UC1 = -5.6e-11 U0 = 0.0181 UTE = -1.1 VOFF = -0.13 VOFFL = 0 MINV = 0.05 FPROUT = 0.2 PDITS = 0.01 PDITSD = 0.23 PDITSL = 2.3e+06 DELTA = 0.01 RDSW = 60 RDSWMIN = 0 RDWMIN = 0 RSWMIN = 0 RDW = 30 RSW = 30 PRWG = 0 PRWB = 0 PRT = 0 ETA0 = 0.0045 ETAB = 0 PCLM = 0.06 PDIBLC1 = 0.001 PDIBLC2 = 0.001 PDIBLCB = -0.005 PSCBE1 = 2e+09 PSCBE2 = 1e-07 PVAG = 1e-20 WR = 1 DWG = 0 DWB = 0 XW = 0 XWREF = 0 XL = -9e-09 XLREF = -9e-09 B0 = 0 B1 = 0 ALPHA0 = 0.074 ALPHA1 = 0.005 AGIDL = 0.0002 BGIDL = 2.1e+09 CGIDL = 0.0002 PHIN = 0 EGIDL = 0.8 AIGC = 0.0213 BIGC = 0.002589 CIGC = 0.002 AIGSD = 0.0213 BIGSD = 0.002589 CIGSD = 0.002 AIGBACC = 0.012 BIGBACC = 0.0028 CIGBACC = 0.002 AIGBINV = 0.014 BIGBINV = 0.004 CIGBINV = 0.004 NIGC = 1 NIGBINV = 3 NIGBACC = 1 NTOX = 1 EIGBINV = 1.1 PIGCD = 1 POXEDGE = 1 XRCRG1 = 12 TNOIA = 1.5 TNOIB = 3.5 NTNOI = 1 XRCRG2 = 5 BETA0 = 30 IJTHDFWD = 0.1 IJTHSFWD = 0.1 IJTHDREV = 0.1 IJTHSREV = 0.1 XJBVD = 1 XJBVS = 1 BVD = 10 BVS = 10 VFB = -1.058 GBMIN = 1e-10 RBDB = 15 RBPB = 5 RBSB = 15 RBPS = 15 RBPD = 15 CGSL = 7.5e-13 CGDL = 7.5e-13 CKAPPAS = 0.6 CKAPPAD = 0.6 CF = 1.1e-10 CLC = 1e-07 CLE = 0.6 DWC = 5e-09 DLC = 1.35e-09 DLCIG = 1.35e-09 DWJ = 0 VFBCV = -1 ACDE = 1 MOIN = 15 NOFF = 1 VOFFCV = 0 DMCG = 0 DMCI = 0 DMDG = 0 DMCGT = 0 XGW = 0 XGL = 0 RSHG = 0.4 NGCON = 1 TCJ = 0 TPB = 0 TCJSW = 0 TPBSW = 0 TCJSWG = 0 TPBSWG = 0 SK0 = 0 SK1 = 0 SK2 = 0 SL = 2e-06 SW = 2e-06 K = 0 TNOM = 300.1 CGSO = 7e-11 CGDO = 7e-11 CGBO = 0 XPART = 0 RSH = 5 JSS = 1.2e-06 JSWS = 2.4e-13 JSWGS = 2.4e-13 PBS = 1 MJS = 0.5 PBSWS = 1 MJSWS = 0.33 CJS = 0.0018 CJSWS = 1.2e-10 NJS = 1 PBSWGS = 1 MJSWGS = 0.33 CJSWGS = 2.1e-10 XTIS = 3 JSD = 1.2e-06 JSWD = 2.4e-13 JSWGD = 2.4e-13 PBD = 1 MJD = 0.5 PBSWD = 1 MJSWD = 0.33 CJD = 0.0018 CJSWD = 1.2e-10 NJD = 1 PBSWGD = 1 MJSWGD = 0.33 CJSWGD = 2.1e-10 XTID = 3 LMLT = 1 WMLT = 1 LINT = 1.35e-09 LL = 0 LLC = 0 LLN = 1 LW = 0 LWC = 0 LWN = 1 LWL = 0 LWLC = 0 LMIN = 0 LMAX = 1 WINT = 5e-09 WL = 0 WLC = 0 WLN = 1 WW = 0 WWC = 0 WWN = 1 WWL = 0 WWLC = 0 WMIN = 0 WMAX = 1 NOIA = 6.25e+41 NOIB = 3.125e+26 NOIC = 8.75e+09 EM = 4.1e+07 EF = 1 AF = 1 KF = 0 TRS = 0 TRD = 0 Model Name: pmos BSIM4 Model (Level 54) MOBMOD = 0 BINUNIT = 1 PARAMCHK = 1 CAPMOD = 2 DIOMOD = 1 RDSMOD = 0 TRNQSMOD = 0 ACNQSMOD = 0 RBODYMOD = 1 RGATEMOD = 1 PERMOD = 1 GEOMOD = 1 RGEOMOD = 0 FNOIMOD = 1 TNOIMOD = 0 IGCMOD = 1 IGBMOD = 1 STIMOD = 0 VERSION = 4 TOXREF = 6.7e-10 TOXE = 6.7e-10 TOXP = 4e-10 TOXM = 6.7e-10 DTOX = 2.7e-10 EPSROX = 3.9 CDSC = 0 CDSCB = 0 CDSCD = 0 CIT = 0 NFACTOR = 2.3 XJ = 7.2e-09 VSAT = 7.8e+04 A0 = 1 AGS = 1e-20 A1 = 0 A2 = 1 AT = 3.3e+04 KETA = -0.047 NSUB = 6e+16 NDEP = 4.4e+18 NSD = 2e+20 NGATE = 1e+23 GAMMA1 = 0 GAMMA2 = 0 VBX = 0 VBM = -3 XT = 1.55e-07 K1 = 0.2 KT1 = -0.14 KT1L = 0 KT2 = 0.022 K2 = -0.01 K3 = 0 K3B = 0 LPE0 = 0 LPEB = 0 DVTP0 = 1e-11 DVTP1 = 0.05 W0 = 2.5e-06 DVT0 = 1 DVT1 = 2 DVT2 = -0.032 DVT0W = 0 DVT1W = 0 DVT2W = 0 DROUT = 0.6 DSUB = 0.1 VTH0 = -0.254 EU = 1 UA = -5e-10 UA1 = 1e-09 UB = 1.6e-18 UB1 = -1e-18 UC = 0 UC1 = -5.6e-11 U0 = 0.0023 UTE = -1.1 VOFF = -0.13 VOFFL = 0 MINV = 0.05 FPROUT = 0.2 PDITS = 0.08 PDITSD = 0.23 PDITSL = 2.3e+06 DELTA = 0.01 RDSW = 60 RDSWMIN = 0 RDWMIN = 0 RSWMIN = 0 RDW = 30 RSW = 30 PRWG = 0 PRWB = 0 PRT = 0 ETA0 = 0.0037 ETAB = 0 PCLM = 0.1 PDIBLC1 = 0.001 PDIBLC2 = 0.001 PDIBLCB = 3.4e-08 PSCBE1 = 2e+09 PSCBE2 = 9.58e-07 PVAG = 1e-20 WR = 1 DWG = 0 DWB = 0 XW = 0 XWREF = 0 XL = -9e-09 XLREF = -9e-09 B0 = 0 B1 = 0 ALPHA0 = 0.074 ALPHA1 = 0.005 AGIDL = 0.0002 BGIDL = 2.1e+09 CGIDL = 0.0002 PHIN = 0 EGIDL = 0.8 AIGC = 0.01273 BIGC = 0.00115 CIGC = 0.0008 AIGSD = 0.01273 BIGSD = 0.00115 CIGSD = 0.0008 AIGBACC = 0.012 BIGBACC = 0.0028 CIGBACC = 0.002 AIGBINV = 0.014 BIGBINV = 0.004 CIGBINV = 0.004 NIGC = 1 NIGBINV = 3 NIGBACC = 1 NTOX = 1 EIGBINV = 1.1 PIGCD = 1 POXEDGE = 1 XRCRG1 = 12 TNOIA = 1.5 TNOIB = 3.5 NTNOI = 1 XRCRG2 = 5 BETA0 = 30 IJTHDFWD = 0.1 IJTHSFWD = 0.1 IJTHDREV = 0.1 IJTHSREV = 0.1 XJBVD = 1 XJBVS = 1 BVD = 10 BVS = 10 VFB = -1.058 GBMIN = 1e-12 RBDB = 50 RBPB = 50 RBSB = 50 RBPS = 50 RBPD = 50 CGSL = 3e-11 CGDL = 3e-11 CKAPPAS = 0.6 CKAPPAD = 0.6 CF = 1.1e-10 CLC = 1e-07 CLE = 0.6 DWC = 5e-09 DLC = 1.35e-09 DLCIG = 1.35e-09 DWJ = 5e-09 VFBCV = -1 ACDE = 1 MOIN = 15 NOFF = 1 VOFFCV = 0 DMCG = 0 DMCI = 0 DMDG = 0 DMCGT = 0 XGW = 0 XGL = 0 RSHG = 0.1 NGCON = 1 TCJ = 0 TPB = 0 TCJSW = 0 TPBSW = 0 TCJSWG = 0 TPBSWG = 0 SK0 = 0 SK1 = 0 SK2 = 0 SL = 2e-06 SW = 2e-06 K = 0 TNOM = 300.1 CGSO = 7e-11 CGDO = 7e-11 CGBO = 0 XPART = 0 RSH = 5 JSS = 2e-07 JSWS = 4e-13 JSWGS = 4e-13 PBS = 1 MJS = 0.5 PBSWS = 1 MJSWS = 0.33 CJS = 0.0015 CJSWS = 9.4e-11 NJS = 1 PBSWGS = 1 MJSWGS = 0.33 CJSWGS = 2e-10 XTIS = 3 JSD = 2e-07 JSWD = 4e-13 JSWGD = 4e-13 PBD = 1 MJD = 0.5 PBSWD = 1 MJSWD = 0.33 CJD = 0.0015 CJSWD = 9.4e-11 NJD = 1 PBSWGD = 1 MJSWGD = 0.33 CJSWGD = 2e-10 XTID = 3 LMLT = 1 WMLT = 1 LINT = 1.35e-09 LL = 0 LLC = 0 LLN = 1 LW = 0 LWC = 0 LWN = 1 LWL = 0 LWLC = 0 LMIN = 0 LMAX = 1 WINT = 5e-09 WL = 0 WLC = 0 WLN = 1 WW = 0 WWC = 0 WWN = 1 WWL = 0 WWLC = 0 WMIN = 0 WMAX = 1 NOIA = 6.25e+41 NOIB = 3.125e+26 NOIC = 8.75e+09 EM = 4.1e+07 EF = 1 AF = 1 KF = 0 TRS = 0 TRD = 0 **warning** dc voltage reset to initial transient source value in source 0:vin new dc= 0.0000D+00 Warning: Acde = 1 may be too small in BSIM4 model nmos with w=2.2e-08 l=2.2e-08. Warning: (B1 + Weff) may be too small in BSIM4 model nmos with w=2.2e-08 l=2.2e-08. Warning: Acde = 1 may be too small in BSIM4 model pmos with w=4.4e-08 l=2.2e-08. Warning: (B1 + Weff) may be too small in BSIM4 model pmos with w=4.4e-08 l=2.2e-08. **warning** no dc path to ground from node 0:noder defined in subckt 0 now it is connected with gdcpath 1****** HSPICE -- B-2008.09 64-BIT (Aug 26 2008) linux ****** ****** cmos inverter delay ****** operating point information tnom= 25.000 temp= 25.000 ***** ***** operating point status is voltage simulation time is 0. node =voltage node =voltage node =voltage +0:dd = 1.0000 0:noded = 999.6015m 0:nodei = 0. +0:nodeo = 252.9858m 0:noder = 559.5232m ****** cmos inverter delay ****** transient analysis tnom= 25.000 temp= 25.000 ***** tp_lh= failed targ= not found trig= 2.0050E-09 tp_hl= failed targ= not found trig= 5.0500E-10 ***** job concluded 1****** HSPICE -- B-2008.09 64-BIT (Aug 26 2008) linux ****** ****** cmos inverter delay ****** job statistics summary tnom= 25.000 temp= 25.000 ***** ****** HSPICE Threads Information ****** Command Line Threads Count: 0 Available CPU Count: 12 Actual Model Evaluation(Load) Threads Count: 1 Actual Solver Threads Count: 1 ****** Circuit Statistics ****** # nodes = 22 # elements = 6 # resistors = 0 # capacitors = 0 # inductors = 0 # mutual_inds = 0 # vccs = 0 # vcvs = 0 # cccs = 0 # ccvs = 0 # volt_srcs = 2 # curr_srcs = 0 # diodes = 0 # bjts = 0 # jfets = 0 # mosfets = 4 # U elements = 0 # T elements = 0 # W elements = 0 # B elements = 0 # S elements = 0 # P elements = 0 # va device = 0 ****** Runtime Statistics (seconds) ****** analysis time # points tot. iter conv.iter op point 0.00 1 9 transient 0.00 201 176 75 rev= 3 readin 0.00 errchk 0.01 setup 0.00 output 0.00 total memory used 161 kbytes total cpu time 0.01 seconds total elapsed time 1 seconds job started at 14:34:36 09/01/2017 job ended at 14:34:36 09/01/2017 lic: Release hspice token(s)